PPT Slide
inputA
inputB
output
result
result
operation
operandA
operandB
Logic Module
Arithmetic Module
operation
operandA
operandB
selection
MUX
component arithmetic_module
port(
operation: in std_logic_vector (1 downto 0);
operandA: in std_logic_vector (7 downto 0);
operandB: in std_logic_vector (7 downto 0);
result: out std_logic_vector (7 downto 0);
);
end component;
Previous slide
Next slide
Back to first slide
View graphic version