Example – ALU
entity alu is
port(
operand1: in std_logic_vector(7 downto 0);
operand2: in std_logic_vector(7 downto 0);
opcode: in std_logic_vector(2 downto 0);
alu_result: out std_logic_vector(7 downto 0));
end alu;
ALU
operand1
operand2
alu_result
opcode
Previous slide
Next slide
Back to first slide
View graphic version