library IEEE; use IEEE.std_logic_1164.all; entity ascii_led is port ( a: in STD_LOGIC_VECTOR (7 downto 0); led: out STD_LOGIC_VECTOR (6 downto 0) ); end ascii_led; architecture ascii_led_arch of ascii_led is begin ----------------------------------------------------------------------------- -- TranslationProcess: translate the ascii representations of characters -- into LED output ----------------------------------------------------------------------------- TranslationProcess: process(a) begin case a is when X"41" => led <= "1111110"; --A when X"42" => led <= "0101111"; --b when X"43" => led <= "0001101"; --c when X"44" => led <= "0011111"; --d when X"45" => led <= "1101101"; --E when X"46" => led <= "1101100"; --F when X"47" => led <= "1111011"; --g when X"48" => led <= "0101110"; --H when X"49" => led <= "0000010"; --i when X"4A" => led <= "0010111"; --J when X"4B" => led <= "0011010"; --k when X"4C" => led <= "0100101"; --L when X"4D" => led <= "1110110"; --M when X"4E" => led <= "0001110"; --n when X"4F" => led <= "0001111"; --o when X"50" => led <= "1111100"; --p when X"51" => led <= "1111010"; --q when X"52" => led <= "0001100"; --r when X"53" => led <= "1101011"; --S when X"54" => led <= "0101101"; --t when X"55" => led <= "0000111"; --u when X"56" => led <= "0111100"; --V when X"57" => led <= "0110111"; --W when X"58" => led <= "0101010"; --X when X"59" => led <= "0111011"; --y when X"5A" => led <= "1011101"; --Z when others => led <= "0000000"; end case; end process; end ascii_led_arch;